Tuesday, January 3, 2017

How uvm phases initiate?

UVM phases initiate by calling run_test(“test”) in top module. When run_test() method call, it first create the object of test top & then call all phases.

No comments:

Post a Comment