Monday, January 9, 2017

What is UVM verbosity?

UVM pre-defines six verbosity levels; UVM_NONE to UVM_DEBUG. These levels are nothing but integer enum values. A message with the UVM_NONE level is always printed, while a message with another verbosity level requires a higher threshold to print.



If you just want to change the threshold globally, the easiest way is to use the +UVM_VERBOSITY command-line argument. For example, you can set the threshold as UVM_LOW as follows.

Command line Argument : +UVM_VERBOSITY=UVM_LOW

No comments:

Post a Comment