Thursday, April 27, 2017

SystemVerilog assertions (SVA) - $assertkill or $assertoff or $asserton

$assertoff - used to disable all assertions but allows currently active assertions to complete before being disabled.
$assertkill - used to kill and disable all assertions including currently active assertions.
$asserton - used to turn all assertions back on

No comments:

Post a Comment